Hard Processor System Technical Reference Manual: Agilex™ 5 SoCs

ID 814346
Date 4/01/2024
Public
Document Table of Contents

5.15.5.3.2. Dedicated Configuration Registers

Configuration registers for each dedicated I/O pin allow software to control the corresponding I/O cell. These registers, Pin_Mux.io0ctrl through Pin_Mux.io47ctrl, allow software to set the following characteristics:

  • Drive strength discrete values of 2, 4, 6, or 8 mA
  • Slow/Fast slew rate control
  • Internal weak pullup
  • Internal weak pulldown
  • Open drain
  • Schmitt trigger/TTL input

At cold reset, the dedicated configuration registers default to inputs with weak pull up enabled. A warm reset event does not affect these registers.

In addition, registers Pin_Mux.io0_delay through Pin_Mux.io47_delay allow software to set the delay chains in each of the dedicated I/Os.

Each IO supports two delay chains: one for input delay and one for output delay.

Note: Although the dedicated I/O pins are configured through the control registers, Intel recommends against reconfiguring the dedicated I/O pins after I/O configuration is complete.

Refer to Intel® Agilex™ 5 General-Purpose I/O User Guide for HPS I/O banks details.