GTS Ethernet Intel® FPGA Hard IP User Guide

ID 817676
Date 7/08/2024
Public
Document Table of Contents

5.3. Simulate the Design Example

The simulation testbench sends and receives 16 packets using the ROM-based packet generator.
Figure 55. The GTS Ethernet Intel® FPGA Hard IP Simulation Design Example Block Diagram

Follow these steps to simulate the design example:

  1. At the command prompt, change the directory to <design_example_dir>/example_testbench.
  2. Run the simulation script for the supported simulator of your choice. The script compiles and runs the testbench in the simulator. Refer to the table Steps to Simulate the Testbench.
    Simulator Instructions
    Synopsys* VCS* In the command line, type:
    sh run_vcsmx.sh
    QuestaSim* or Questa* Intel® FPGA Edition To run a simulation in GUI, In the command line, type:
    vsim -do run_vsim.do
    If you prefer to simulate without bringing up the GUI, type:
    vsim -c -do run_vsim.do
    Xcelium* In the command line, type:
    sh run_xcelium.sh
    To enable Synopsys* VCS* Verdi, uncomment the following line from the testbench file located in <design_example_dir>/example_testbench/
    //$fsdbDumpvars(0, "+all", basic_avl_tb_top);
    //$wlfdumpvars(0);
    A successful simulation ends with the following message:
    Testbench complete
    After successful completion, analyze the results.