Device Design Guidelines: Agilex™ 5 FPGAs and SoCs

ID 813741
Date 4/01/2024
Public
Document Table of Contents

6.8. Designing with Hyperflex®

Table 73.  Designing with Hyperflex®

Number

Done?

Checklist Item

1

 

Use Hyperflex® feature to optimize your design and achieve enhanced performance.

Hyperflex® core architecture adds registers to both the interconnect routing and the inputs of all major functional blocks in the FPGA. These added registers, called Hyper-Registers, are different from conventional registers. Conventional registers are present only in the adaptive logic modules (ALMs). Hyper-Registers can help to achieve significant core performance improvement.

To achieve this enhanced performance, you must optimize your designs using the following steps:

  1. Hyper-Retiming
  2. Hyper-Pipelining
  3. Hyper-Optimization

For more information about high performance design, refer to the Intel FPGA Technical Training website.