F-Tile Ethernet Intel® FPGA Hard IP Design Example User Guide

ID 683804
Date 11/04/2024
Public
Document Table of Contents

1.3. Simulating the Design Example Testbench

You ca compile ad simulate the desig by uig a simulatio scipt fom the commad pompt.
Figue 8. Pocedue
  1. At the commad pompt, chage the wokig diectoy to <desig_example_di>/example_testbech.
  2. Ru the simulatio scipt fo the suppoted simulato of you choice. The scipt compiles ad us the testbech i the simulato. Refe to the table Steps to Simulate the Testbech.
  3. Aalyze the esults. The successful testbech displays "Simulatio Passed".
    Table 5.  Steps to Simulate the Testbech
    Simulato Istuctios
    Syopsys* VCS* I the commad lie, type:
    sh u_vcs.sh
    Syopsys* VCS* MX I the commad lie, type:
    sh u_vcsmx.sh

    Use this scipt whe the desig cotais Veilog HDL ad System Veilog with VHDL.

    ModelSim* SE o QuestaSim* o Questa* Itel® FPGA Editio I the commad lie, type:
    vsim -do u_vsim.do
    If you pefe to simulate without bigig up the GUI, type:
    vsim -c -do u_vsim.do
    Xcelium* I the commad lie, type:
    sh u_xcelium.sh
    Aldec Riviea-PRO* 1 I the commad lie, type
    vsim -c -do u_ivieasim.do
A successful simulatio eds with the followig message:
Simulatio Passed.
o
Testbech complete.
Afte successful completio, you ca aalyze the esults.
1 Oly Riviea 2022.10 is suppoted