Visible to Intel only — GUID: lsr1680169561428
Ixiasoft
3.3.1.1. Steps to Run Simulation : VCS*
3.3.1.2. Steps to Run Simulation : VCS* MX
3.3.1.3. Steps to Run Simulation : QuestaSim* / ModelSim* - Intel® FPGA Starter Edition / Questa* Intel® FPGA Starter Edition
Working Directory
Instructions
3.3.1.4. Steps to Run Simulation : Xcelium*
3.3.1.5. Steps to Run Simulation : Riviera-PRO*
Visible to Intel only — GUID: lsr1680169561428
Ixiasoft
3.3.1.3. Steps to Run Simulation : QuestaSim* / ModelSim* - Intel® FPGA Starter Edition / Questa* Intel® FPGA Starter Edition
Working Directory
<example_design>/ pcie_ed_tb/pcie_ed_tb/sim/mentor/
Instructions
- Invoke vsim (by typing vsim, which brings up a console window where you can run the following commands).
- do msim_setup.tcl
- set TOP_LEVEL_NAME "pcie_ed_tb.pcie_ed_tb" [required for Windows environment only]
- Enable FASTSIM mode or FASTSIM + PIPE mode for simulation. You can skip this step if none of these modes is required for your simulation.
For FASTSIM + PIPE mode:Note: Enable PIPE Mode Simulation box must be checked during generation of design example. PIPE mode is not supported for ModelSim* - Intel® FPGA Starter Edition / Questa* Intel® FPGA Starter Edition.
set USER_DEFINED_COMPILE_OPTIONS “+define+gdrb_GDR_PCIE_SS_DV\ +define+IP7581SERDES_UX_SIMSPEED”
For FASTSIM mode:set USER_DEFINED_COMPILE_OPTIONS “+define+IP7581SERDES_UX_SIMSPEED”
- ld_debug
- run -all
- A successful simulation ends with the following message:
"Simulation stopped due to successful completion!"