Visible to Intel only — GUID: kwy1680169519287
Ixiasoft
3.3.1.1. Steps to Run Simulation : VCS*
Working Directory
Instructions
3.3.1.2. Steps to Run Simulation : VCS* MX
3.3.1.3. Steps to Run Simulation : QuestaSim* / ModelSim* - Intel® FPGA Starter Edition / Questa* Intel® FPGA Starter Edition
3.3.1.4. Steps to Run Simulation : Xcelium*
3.3.1.5. Steps to Run Simulation : Riviera-PRO*
Visible to Intel only — GUID: kwy1680169519287
Ixiasoft
3.3.1.1. Steps to Run Simulation : VCS*
Working Directory
<example_design>/pcie_ed_tb/pcie_ed_tb/sim/synopsys/vcs/
Instructions
-
Run the following commands:
For FASTSIM + PIPE mode:Note: Enable PIPE Mode Simulation box must be checked during generation of design example.sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="+vcs+lic+wait\ -full64\ -hsopt=gates\ -debug_pp\ +define+gdrb_GDR_PCIE_SS_DV\ +define+RTLSIM\ +define+IP7581SERDES_UX_SIMSPEED\ +define+SSM_SEQUENCE\ " USER_DEFINED_SIM_OPTIONS="" | tee simulation.log
For FASTSIM mode:sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="+vcs+lic+wait\ -full64\ -hsopt=gates\ -debug_pp\ +define+RTLSIM\ +define+IP7581SERDES_UX_SIMSPEED\ +define+SSM_SEQUENCE\ " USER_DEFINED_SIM_OPTIONS="" | tee simulation.log
For non-FASTSIM mode:sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="+vcs+lic+wait\ -full64\ -hsopt=gates\ -debug_pp\ +define+RTLSIM\ +define+SSM_SEQUENCE\" USER_DEFINED_SIM_OPTIONS="" | tee simulation.log
Note: The commands above are single-line commands. - A successful simulation ends with the following message,
"Simulation stopped due to successful completion!"
in the simulation.log file that was generated.
Note: To run a simulation in interactive mode, use the following steps: (if you already generated a simv executable in noninteractive mode, delete the simv and simv.daidir)
- Open the vcs_setup.sh file and add a debug option to the VCS command:
vcs -debug_access+all
-
Compile the design example:
For FASTSIM + PIPE mode:Note: Enable PIPE Mode Simulation box must be checked during generation of design example.sh vcs_setup.sh USER_DEFINED_ELAB_OPTIONS="+vcs+lic+wait\ -full64\ -hsopt=gates\ -debug_pp\ +define+gdrb_GDR_PCIE_SS_DV\ +define+RTLSIM\ +define+IP7581SERDES_UX_SIMSPEED\ +define+SSM_SEQUENCE\ " SKIP_SIM=1
For FASTSIM mode:sh vcs_setup.sh USER_DEFINED_ELAB_OPTIONS="+vcs+lic+wait\ -full64\ -hsopt=gates\ -debug_pp\ +define+RTLSIM\ +define+IP7581SERDES_UX_SIMSPEED\ +define+SSM_SEQUENCE\ " SKIP_SIM=1
For non-FASTSIM mode:sh vcs_setup.sh USER_DEFINED_ELAB_OPTIONS="+vcs+lic+wait\ -full64\ -hsopt=gates\ -debug_pp\ +define+RTLSIM\ +define+SSM_SEQUENCE\ " SKIP_SIM=1
Note: The commands above are single-line commands. - Start the simulation in interactive mode:
simv -gui &