Visible to Intel only — GUID: sfq1698887493411
Ixiasoft
3.3.1.1. Steps to Run Simulation : VCS*
3.3.1.2. Steps to Run Simulation : VCS* MX
3.3.1.3. Steps to Run Simulation : QuestaSim* / ModelSim* - Intel® FPGA Starter Edition / Questa* Intel® FPGA Starter Edition
3.3.1.4. Steps to Run Simulation : Xcelium*
3.3.1.5. Steps to Run Simulation : Riviera-PRO*
Simulation Flow starting with Quartus® Prime 22.4 version
Visible to Intel only — GUID: sfq1698887493411
Ixiasoft
3.3.1.5. Steps to Run Simulation : Riviera-PRO*
Simulation Flow starting with Quartus® Prime 22.4 version
- Generate the example design
- Run the simulation commands below
-
cd <my_design>/pcie_ed_tb/pcie_ed_tb/sim/aldec
- Invoke vsim by using command:
vsim -c -do rivierapro_setup.tcl
-
Note: Enable FASTSIM mode or FASTSIM + PIPE mode for simulation. You can skip this step if none of these modes is required for your simulation.For FASTSIM + PIPE mode:Note: Enable PIPE Mode Simulation box must be checked during generation of design example.
set USER_DEFINED_COMPILE_OPTIONS “+define+gdrb_GDR_PCIE_SS_DV\ +define+IP7581SERDES_UX_SIMSPEED”
For FASTSIM mode only:set USER_DEFINED_COMPILE_OPTIONS “+define+IP7581SERDES_UX_SIMSPEED”
-
set USER_DEFINED_ELAB_OPTIONS "-nocvg -dbg -05"
-
ld
-
run -all
- A successful simulation ends with the following message:
"Simulation stopped due to successful completion!"
-