Agilex™ 7 F-Series and I-Series FPGA Memory Subsystem IP User Guide

ID 789389
Date 7/15/2024
Public
Document Table of Contents

9. Simulating a Design Example

To simulate your design, you require the following components:

  • An Altera® -supported Verilog HDL simulator, such as Cadence* Xcelium, Siemens EDA* ModelSim, Siemens EDA QuestaSim, or Synopsys* VCS.
  • A design using Altera memory subsystem IP.
  • An example driver or traffic generator to initiate read and write transactions.
  • A testbench and suitable simulation model.

The Memory Subsystem IP is not compatible with the Platform Designer Testbench System. Instead, use the simulation design example from your generated IP to validate memory interface operation, or as a reference for creating a full simulatable design. The provided simulation design example contains the generated memory subsystem, a memory model, and a traffic generator.

Memory Simulation Models

There are two types of memory simulation models that you can use:

  • Altera-provided generic memory model
  • Vendor-specific memory model

The Quartus® Prime software generates the generic memory simulation model with the simulation design example. The model adheres to all the memory protocol specifications, and can be parameterized.

Vendor-specific memory models are simulation models for specific memory components from memory vendors such as Micron and Samsung. You can obtain these simulation models from the memory vendor's website.

Note: Altera does not provide support for vendor-specific memory models.