Agilex™ 7 F-Series and I-Series FPGA Memory Subsystem IP User Guide

ID 789389
Date 7/15/2024
Public
Document Table of Contents

9.3. Steps for Simulation

Follow these steps to stimulate the example testbench:

  1. Change to the simulation directory, <example_design_directory>/sim/ .
  2. Run the simulation script for the simulator of your choice:
    • ModelSim — go to the ed_sim/mentor directory and follow these steps:
      1. Perform either one of the following:
          1. Invoke vsim (by typing vsim, which brings up a console window where you can run the following commands).
          2. do msim_setup.tcl.
        • Type: vsim -c -do msim_setup.tcl
      2. type ld_debug.
      3. type run -all
      Note: When running simulations that use TCAM IP, type
      ld_debug -voptargs="-noprotectopt"
      before typing run -all.

      A successful simulation ends with the message Simulation Passed.

    • VCS — go to the ed_sim/sypnopsys/vcs directory and follow these steps:
      1. sh vcs_setup.sh USER_DEFINED_COMPILE_OPTIONS="" USER_DEFINED_ELAB_OPTIONS="- xlrm\ uniq_prior_final" USER_DEFINED_SIM_OPTIONS=""

      A successful simulation ends with the message Simulation Passed.

    • Xcelium — go to the ed_sim/xcellium directory and follow these steps:
      1. sh xcelium_setup.sh USER_DEFINED_SIM_OPTIONS="" USER_DEFINED_ELAB_OPTIONS ="- timescale\ 1ns/1ps"

      A successful simulation ends with the message Simulation Passed.

  3. Analyze the results.