Questa* Intel® FPGA Edition Simulation User Guide

ID 730191
Date 4/01/2024
Public
Document Table of Contents

3.3.1.5. Compilation Example 5: File my_pkg.sv Defines SystemVerilog Package my_pkg and File foo.sv Imports my_pkg

The following example compiles both files into the lib1 library. This is a partial example since it does not include the quit command.

vlog -sv my_pkg.sv foo.sv -work lib1

In this example, the order of HDL files matters because SystemVerilog package files are present. In general, you must specify all SystemVerilog package files before the files that import the package files.