Visible to Intel only — GUID: mtr1422491992968
Ixiasoft
3.3.1. Project Creation
3.3.2. Design Entry
3.3.3. IP Status
3.3.4. Design Constraints
3.3.5. Synthesis
3.3.6. Design Implementation
3.3.7. Finalize Pinout
3.3.8. Viewing and Editing Design Placement
3.3.9. Static Timing Analysis
3.3.10. Generation of Device Programming Files
3.3.11. Power Analysis
3.3.12. Simulation
3.3.13. Hardware Verification
3.3.14. View Netlist
3.3.15. Design Optimization
3.3.16. Techniques to Improve Productivity
3.3.17. Cross-Probing in the Intel® Quartus® Prime Pro Edition Software
4.2.1.2.1. Memory Mode
4.2.1.2.2. Clocking Mode
4.2.1.2.3. Write and Read Operation Triggering
4.2.1.2.4. Read-During-Write Operation at the Same Address
4.2.1.2.5. Error Correction Code (ECC)
4.2.1.2.6. Byte Enable
4.2.1.2.7. Address Clock Enable
4.2.1.2.8. Parity Bit Support
4.2.1.2.9. Memory Initialization
4.2.1.2.10. Output Synchronous Set/Reset
Visible to Intel only — GUID: mtr1422491992968
Ixiasoft
4.3.3. Timing Constraints
You can convert constraints defined in XDC files to SDC commands that the Intel® Quartus® Prime Pro Edition Timing Analyzer can use.
The following table summarizes the most common Vivado* XDC timing constraints and the equivalent SDC timing constraints. You can set the constraints by either modifying the .sdc file or by using the Timing Analyzer GUI.
Vivado* XDC Timing Constraint | Timing Analyzer SDC Command | Description |
---|---|---|
create_clock create_generated_clock set_max_delay set_false_path |
Defines all the clocks and their relationship in a design. | |
NA | derive_pll_clocks | Automatically creates a generated clock constraint on each output of the PLLs in a design.
Note: Only Intel® Arria® 10 devices support this command
|
NA | derive_clock_uncertainty | Calculates clock-to-clock uncertainties within the FPGA due to characteristics like PLL jitter, clock tree jitter, etc. The Timing Analyzer generates a warning if the command is not present in the SDC files |
set_input_delay | Input timing constraint that you use to define the Pad-to-Setup timing requirement in a design. | |
set_output_delay | Defines the global Clock to Pad timing requirement in a design. | |
set_max_delay | Combinational path that constrains all combinational pin to pin paths. | |
set_false_path | Eliminates the paths from timing consideration during Place and Route and timing analysis. | |
set_clock_groups w/- include_generated_clocks | set_clock_groups | Cuts timing between clocks in different groups. |
NA | derive_pll_clocks | Vivado* tools auto-derive the generated clocks; therefore, XDC files do not require these constraints. |
NA | derive_clock_uncertainty |
For more information about using the SDC commands and their usage, refer to the Timing Analyzer User Guide: Intel® Quartus® Prime Pro Edition .
Related Information