DSP Builder for Intel® FPGAs (Advanced Blockset): Handbook

ID 683337
Date 5/27/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.7.3.2.1. Modifying Avalon-ST Blocks

Modify Avalon-ST blocks to add more ports, to add custom text or to extend the blocks

Procedure

  1. Look under the mask to see the implementation of the DSP Builder Avalon-ST blocks masked subsystems.
  2. Extend the definition further, by breaking the link and adding further ports that the hw.tcl file declares, or add text that DSP Builder writes unevaluated directly into the interface declaration in the hw.tcl file.
    Note: When you edit the mask do not edit the mask type, as DSP Builder uses it to identify the subsystems defining the interfaces.
  3. Add more ports to Avalon ST blocks by connecting these ports internally in the same way as the existing signals. For example, with FIFO buffers.
  4. If you add inputs or output ports that you connect to the device level ports, tag these ports with the role the port takes in the Avalon-ST interface. For example, you may want to add error and empty ports.
  5. Add custom text to the description field.
    Any text you write to the description field of the DSP Builder masked subsystem writes with no evaluation into the hw.tcl file immediately after the standard parameters for the interface and before the port declarations. Ensure you correctly add the text of any additions.