Floating-Point Intel® FPGA IP User Guide

ID 683750
Date 8/30/2024
Public
Document Table of Contents

1.9. VHDL LIBRARY-USE Declaration

The VHDL LIBRARY-USE declaration is not required if you use the VHDL Component Declaration.

LIBRARY altera_mf;

USE altera_mf.altera_mf_components.all;