Quartus® Prime Pro Edition User Guide: Debug Tools

ID 683819
Date 7/08/2024
Public
Document Table of Contents

3.1.4. Step 4: Compile the Design

Perform a full compilation of the design. You can use Quartus® Prime software GUI, a command line executable, or the following Tcl command to start the Compiler

Tcl Command to Compile the Design

execute_flow -compile

At this point in the design flow, you can determine the nodes that you want to debug.