Visible to Intel only — GUID: mwh1410383443743
Ixiasoft
1. Answers to Top FAQs
2. Intel FPGA Simulation Basics
3. Questa* Intel® FPGA Edition, ModelSim* , and QuestaSim* Simulator Support
4. Synopsys VCS* and VCS MX Support
5. Aldec Active-HDL and Riviera-PRO Support
6. Cadence Xcelium* Parallel Simulator Support
7. Intel® Quartus® Prime Pro Edition User Guide Third-party Simulation Archive
A. Intel® Quartus® Prime Pro Edition User Guides
3.1. Quick Start Example (ModelSim with Verilog)
3.2. Questa* Intel® FPGA Edition, ModelSim, and QuestaSim Simulator Guidelines
3.3. ModelSim Simulation Setup Script Example
3.4. Sourcing ModelSim* or QuestaSim Simulator Setup Scripts
3.5. Unsupported Features
3.6. Questa* Intel® FPGA Edition, ModelSim* , and QuestaSim* Simulator Support Revision History
3.2.1. Using Questa* Intel® FPGA Edition Precompiled Libraries
3.2.2. Passing Parameter Information from Verilog HDL to VHDL
3.2.3. Viewing Simulation Messages
3.2.4. Generating Signal Activity Data for Power Analysis
3.2.5. Viewing Simulation Waveforms
3.2.6. Simulating with Questa* Intel® FPGA Edition Waveform Editor
Visible to Intel only — GUID: mwh1410383443743
Ixiasoft
3. Questa* Intel® FPGA Edition, ModelSim* , and QuestaSim* Simulator Support
You can include your supported EDA simulator in the Intel® Quartus® Prime design flow. This document provides guidelines for simulation of designs with supported Intel and Siemens EDA simulators. The entry-level Questa* Intel® FPGA Edition includes precompiled simulation libraries.
Note:
The Questa* Intel® FPGA Edition simulator supports native, mixed-language (VHDL/Verilog HDL/SystemVerilog) co-simulation of plain text HDL. If you have a VHDL-only simulator, you can use the Questa* Intel® FPGA Edition software to simulate Verilog HDL modules and IP cores. Alternatively, you can purchase separate co-simulation licenses.
Section Content
Quick Start Example (ModelSim with Verilog)
Questa Intel FPGA Edition, ModelSim, and QuestaSim Simulator Guidelines
ModelSim Simulation Setup Script Example
Sourcing ModelSim or QuestaSim Simulator Setup Scripts
Unsupported Features
Questa Intel FPGA Edition, ModelSim , and QuestaSim Simulator Support Revision History
Related Information