LVDS SERDES User Guide: Agilex™ 5 FPGAs and SoCs

ID 813929
Date 4/08/2024
Public
Document Table of Contents

6.1.2. FPGA Timing Analysis

When you generate the LVDS SERDES IP, the IP generates the SERDES hardware clock settings and the core clock for IP timing analysis.
Table 33.  Clocks for the Transmitter and Receiver in Non-DPA and DPA-FIFO ModesBecause the frequency of LVDS SERDES fast clock is higher than the user core clock by the serialization factor, the IP also creates multicycle path constraints for proper timing analysis at the SERDES–core interface.
Clock Clock Name
Core clock

<lvds_instance_name>|cpa_clk

LVDS SERDES fast clock
  • Receiver— <lvds_instance_name>|p2c_fa_div_clk_<byte_num>
  • Transmitter— <lvds_instance_name>|c2p_fa_div_clk_<byte_num>
Table 34.  Clock for the Receiver in Soft-CDR Mode
Clock Clock Name
Core clock

<lvds_instance_name>|cpa_clk

DPA fast clock

<lvds_instance_name>|dpa_core_clk_<byte_num>_<pin_num>