Low Latency E-Tile 40G Ethernet Intel® FPGA IP Design Example User Guide

ID 683747
Date 7/12/2024
Public

2.2. Hardware and Software Requirements

To test the example design, use the following hardware and software:
  • Quartus® Prime Pro Edition software
  • System Console
  • ModelSim* , VCS* , VCS* MX, NCSim, or Xcelium* Simulator
  • Intel Stratix 10 TX E-Tile Transceiver Signal Integrity Development Kit or Intel Intel Agilex 7 F-series Transceiver-SoC Development Kit