Triple-Speed Ethernet Intel® FPGA IP Design Example User Guide: Agilex™ 5 FPGAs and SoCs

ID 813899
Date 7/08/2024
Public

4. Document Revision History for the Triple-Speed Ethernet Intel® FPGA IP Design Example User Guide: Agilex™ 5 FPGAs and SoCs

Document Version Quartus® Prime Version IP Version Changes
2024.07.08 24.2 5.0.0
  • Updated the description in the Quick Start Guide topic.
  • Updated Development Stages for the Design Example figure.
  • Added a note about the Agilex™ 5 D-Series FPGAs and SoCs support in the Quick Start Guide topic.
  • Updated Directory Structure for the Design Example figure.
  • Updated Testbench File Description table.
  • Updated Generating the Design Example topic to include the steps to select the Agilex™ 5 FPGA E-Series 065B Premium Development Kit (ES1) target development kit.
  • Updated Parameters in the Example Design Tab table.
  • Removed VCS* from Steps to Simulate the Testbench table.
  • Added Compiling and Configuring the Design Example in Hardware topic.
  • Updated the following for 10/100/1000 ethernet MAC design example with 1000BASE-X/SGMII 2XTBI PCS with GTS transceiver:
    • Features topic.
    • Hardware and Software Requirements topic.
    • Block Diagram—10/100/1000Mb Ethernet MAC with 1000BASE-X/SGMII 2XTBI PCS with GTS Transceiver.
    • Updated PCS/Transceiver Options in the Design Components table.
    • Updated Block Diagram of the 10/100/1000Mb Ethernet MAC Design Example with 1000BASE-X/SGMII 2XTBI PCS with GTS Transceiver Simulation Testbench figure.
    • Added Hardware Testing, Test Procedure topic.
  • Updated description for pll_refclk0 and reg_clk signals in the Interface Signals for 10/100/1000 Ethernet MAC Design Example with 1000BASE-X/SGMII 2XTBI PCS with GTS Transceiver table.
2024.04.01 24.1 4.0.0 Initial release.