Triple-Speed Ethernet Intel® FPGA IP Design Example User Guide: Agilex™ 5 FPGAs and SoCs

ID 813899
Date 7/08/2024
Public

1.2.1. Design Example Parameters

Table 3.  Parameters in the Example Design Tab
Parameter Description
Select Design Available example designs for the IP parameter settings.
Example Design Files

The files to generate for the different development phase.

  • Simulation—generates the necessary files for simulating the example design.
  • Synthesis—generates the synthesis files. Use these files to compile the design in the Quartus® Prime Pro Edition software for hardware testing and perform static timing analysis.
Generate File Format The format of the RTL files for simulation—Verilog or VHDL.
Select Board Supported hardware for design implementation. When you select an Intel FPGA development board, the Target Device is the one that matches the device on the Development Kit.

If this menu is not available, there is no supported board for the options that you select.

Agilex™ 5 FPGA E-Series 065B Premium Development Kit (ES1): This option allows you to test the design example on the selected Intel® FPGA IP development kit. This option automatically selects the Target Device to match the device on the Intel® FPGA IP development kit. If your board revision has a different device grade, you can change the target device.

None: This option excludes the hardware aspects for the design example.

Select Device Initialization Clock Example Designs using transceivers must provide an external clock to the OSC_CLK_1 device pin. The clock should be 25 MHz,100 MHz, or 125MHz.