Parameterizable Macros for Intel FPGAs User Guide

ID 772350
Date 6/26/2023
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.1. Asynchronous FIFO Parameterizable Macros

For asynchronous FIFO or dual-clock FIFO (async_fifo/ASYNC_FIFO), the read and write synchronize to the rdclk and wrclk clocks, respectively.

Figure 4. Asynchronous FIFO Block Diagram