Intel® MAX® 10 Analog to Digital Converter User Guide

ID 683596
Date 5/04/2021
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.5. Completing ADC Design

The ADC design requires that the ALTPLL IP core clocks the Modular ADC Core IP core.
Generate the ALTPLL and Modular ADC Core IP cores with the settings in the related information.
Figure 30. Basic Intel® MAX® 10 ADC Design


  1. Create the design as shown in the preceding figure.
  2. Connect the c0 signal from the ALTPLL IP core to the adc_pll_clock_clk port of the Modular ADC Core IP core.
  3. Connect the locked signal from the ALTPLL IP core to the adc_pll_locked_export port of the Modular ADC Core IP core.
  4. Create the ADC Avalon slave interface to start the ADC.