F-Tile CPRI PHY Intel® FPGA IP Design Example User Guide

ID 683281
Date 8/15/2024
Public

2.4. F-Tile CPRI IP Hardware Design Example

Figure 11. F-Tile CPRI IP Design Example Block Diagram
  1. For CPRI designs with CPRI line rate 1.2, 2.4, 3, 4.9, 6.1, and 9.8 Gbps use 8b/10b interface. For CPRI designs with CPRI line rates 10.1,12.1, and 24.3 Gbps with and without RS-FEC use MII.
  2. Transceiver reference clock:
    • 122.88 MHz: For all CPRI line rates.
    • 153.6 MHz: For CPRI line rates 1.2, 2.4, 3, 4.9, 6.1, and 9.8 Gbps.
    • 184.32 MHz: For CPRI line rates 10.1,12.1, and 24.3 Gbps with and without RS-FEC.
The F-Tile CPRI PHY Intel® FPGA IP hardware design example includes the following components:
  • F-Tile CPRI PHY Intel® FPGA IP.
  • Packet client logic block that generates and receives traffic.
  • Round trip counter.
  • IOPLL to generate the sampling clock for deterministic latency logic inside the IP, and the round trip counter component at testbench.
  • System PLL to generate the system clocks for the IP.
  • Avalon® memory-mapped address decoder to decode reconfiguration address space for CPRI PHY Reconfiguration Interface, PMA Avalon® Memory-Mapped Interface, and Datapath Avalon® Memory-Mapped Interface.
  • Sources and probes for asserting resets and monitoring the clocks and a few status bits.
  • JTAG controller that communicates with the System Console. You communicate with the client logic through System Console.