MIPI CSI-2 Intel® FPGA IP User Guide

ID 813926
Date 7/08/2024
Public
Document Table of Contents

4.2.1. Files Generated for the MIPI CSI-2 IP and Platform Designer Systems

Figure 2. Files Generated for IP and Platform Designer Systems
Table 18.  IP and Platform Designer Simulation Files
File Name Description
<my_ip>.cmp The VHDL Component Declaration (.cmp) file is a text file that contains local generic and port definitions that you can use in VHDL design files.
<my_ip>_generation.rpt IP or Platform Designer generation log file. A summary of the messages during IP generation.
<my_ip>.qgsimc Simulation caching file that compares the .qsys and .ip files with the current parameterization of the Platform Designer system and IP. This comparison determines if Platform Designer can skip regeneration of the HDL.
<my_ip>.qgsynth Synthesis caching file that compares the .qsys and .ip files with the current parameterization of the Platform Designer system and IP. This comparison determines if Platform Designer can skip regeneration of the HDL.
<my_ip>.qip Contains all the required information about the IP component to integrate and compile the IP component in the Quartus® Prime software.
<my_ip>.csv Contains information about the upgrade status of the IP component.

<my_ip>.bsf

A Block Symbol File (.bsf) representation of the IP variation for use in Block Diagram Files (.bdf).
<my_ip<>.spd Required input file for ip-make-simscript to generate simulation scripts for supported simulators. The .spd file contains a list of files generated for simulation, along with information about memories that you can initialize.
<my_ip>_bb.v Use the Verilog black box (_bb.v) file as an empty module declaration for use as a black box.
<my_ip>_inst.v or _inst.vhd HDL example instantiation template. Copy and paste the contents of this file into your HDL file to instantiate the IP variation.
<my_ip>.v <my_ip>.vhd HDL files that instantiate each submodule or child IP for synthesis or simulation.
sim/mentor/ Contains a Questa* Intel® FPGA Edition script msim_setup.tcl to set up and run a simulation.
sim/aldec/ Contains a Riviera-PRO script rivierapro_setup.tcl to setup and run a simulation.

sim/synopsys/vcsmx/

Contains a shell script vcsmx_setup.sh and synopsys_ sim.setup file to set up and run a VCS MX® simulation.

sim/xcelium/ Contains a shell script xcelium_setup.sh and other setup files to set up and run a Xcelium simulation.
sim/common/ Contains a set of Tcl files, <simulator>_files.tcl, which provide all design related simulation information required by a corresponding simulation script. The Tcl file contains designs from current system-level hierarchy, and references to sub-systems and IP components.
<IP submodule>/ For each generated IP submodule directory, Platform Designer generates /synth and /sim sub-directories under IP-specific directories..