Visible to Intel only — GUID: zzn1501537028067
Ixiasoft
1.1. Directory Structure
1.2. Simulation Design Example Components
1.3. Hardware Design Example Components
1.4. Generating the Design
1.5. Simulating the Design Example Testbench
1.6. Compiling the Compilation-Only Project
1.7. Compiling and Configuring the Design Example in Hardware
1.8. Testing the Hardware Design Example
Visible to Intel only — GUID: zzn1501537028067
Ixiasoft
1.2. Simulation Design Example Components
Figure 3. Low Latency 100G Ethernet Intel Stratix 10 FPGA Simulation Design Example Block Diagram
File Names |
Description |
---|---|
Key Testbench and Simulation Files |
|
basic_avl_tb_top.v | Top-level testbench file. The testbench instantiates the DUT and runs Verilog HDL tasks to generate and accept packets. |
Testbench Scripts |
|
run_vsim.do | The Mentor Graphics* *SE or QuestaSim* or Questa* Intel® FPGA Edition ModelSim* script to run the testbench. |
run_vcs.sh | The Synopsys* VCS* script to run the testbench. |
run_vcsmx.sh | The Synopsys* VCS* MX script (combined Verilog HDL and SystemVerilog with VHDL) to run the testbench.
Note: Use run_vcsmx.sh when RS-FEC is enabled.
|
run_ncsim.sh | The Cadence NCSim script to run the testbench. |
run_xcelium.sh | The Cadence Xcelium* script to run the testbench. |