Intel® Quartus® Prime Standard Edition User Guide: Third-party Simulation

ID 683080
Date 9/24/2018
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

2.2.8. Viewing Simulation Waveforms

ModelSim-Intel FPGA Edition, ModelSim, and QuestaSim automatically generate a Wave Log Format File (.wlf) following simulation. You can use the .wlf to generate a waveform view.

To view a waveform from a .wlf through ModelSim-Intel FPGA Edition, ModelSim, or QuestaSim, perform the following steps:

  1. Type vsim at the command line. The ModelSim/QuestaSim or ModelSim-Intel FPGA Edition dialog box appears.
  2. Click File > Datasets. The Datasets Browser dialog box appears.
  3. Click Open and select your .wlf.
  4. Click Done.
  5. In the Object browser, select the signals that you want to observe.
  6. Click Add > Wave, and then click Selected Signals.
    You must first convert the .vcd to a .wlf before you can view a waveform in ModelSim-Intel FPGA Edition, ModelSim, or QuestaSim.
  7. To convert the the .vcd to a .wlf, type the following at the command-line:
    vcd2wlf <example>.vcd <example>.wlf
  8. After conversion, view the .wlf waveform in ModelSim or QuestaSim.