Intel® Quartus® Prime Standard Edition User Guide: Third-party Simulation

ID 683080
Date 9/24/2018
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

4.2. Using GUI or Command-Line Interfaces

Intel FPGA supports both the IES GUI and command-line simulator interfaces

To start the IES GUI, type nclaunch at a command prompt.

Table 9.  IES Simulation Executables
Program Function
ncvlog

ncvlog compiles your Verilog HDL code and performs syntax and static semantics checks.

ncvhdl

ncvhdl compiles your VHDL code and performs syntax and static semantics checks.

ncelab Elaborates the design hierarchy and determines signal connectivity.
ncsdfc Performs back-annotation for simulation with VHDL simulators.
ncsim Runs mixed-language simulation. This program is the simulation kernel that performs event scheduling and executes the simulation code.