Visible to Intel only — GUID: kyv1650825778999
Ixiasoft
1. About the F-Tile JESD204B Intel Agilex® 7 FPGA IP Design Example User Guide
2. F-Tile JESD204B Intel® FPGA IP Design Example Quick Start Guide
3. Detailed Description for the F-Tile JESD204B Design Example
4. Document Revision History for the F-Tile JESD204B Intel® FPGA IP Design Example User Guide
Visible to Intel only — GUID: kyv1650825778999
Ixiasoft
2.4. Simulating the Design Example Testbench
The design example testbench simulates your generated design.
To simulate the design, perform the following steps:
- Change the working directory to <example_design_directory>/ed_sim/<Simulator>.
- In the command line, run the simulation script. The table below shows the commands to run the supported simulators.
Simulator Command QuestaSim* / ModelSim* vsim -do run_tb_top.tcl Aldec Riviera-PRO* vsim -do run_tb_top.tcl VCS* sh run_tb_top.sh VCS* MX sh run_tb_top.sh Xcelium* Parallel sh run_tb_top.sh The simulation ends with messages that indicate whether the run was successful or not.