You must enable javascript in order to view this page or you can go
here
to view the webhelp.
Quartus Prime Pro Edition Help version 16.1
Content
Search Results
Loading, please wait ...
Welcome to the
Software
Welcome to the
Quartus
®
Prime Pro Edition
Software
New Features in this Release
Managing Projects
Viewing Project Information
General Page (Options Dialog Box)
Project Navigator Window
Compilation Dashboard
Libraries Page
About the Project Navigator
Hierarchy Tab:
Files Tab:
Design Units Tab:
IP Components Tab:
General Settings
Libraries Page
Options Dialog Box
Colors Page (Options Dialog Box) (All Editors)
Fonts Page (Options Dialog Box) (All Editors)
General Page (Options Dialog Box)
License Setup Page (Options Dialog Box)
Processing Page (Options Dialog Box)
Project Settings
Settings Dialog Box
General Page (Settings Dialog Box)
Revision Type
Libraries Page (Settings Dialog Box)
Files Page (Settings Dialog Box)
VHDL Input Page (Settings Dialog Box)
Verilog HDL Input Page (Settings Dialog Box)
Default Parameters Page (Settings Dialog Box)
Start Design Assistant Command (Processing Menu)
SignalTap II Logic Analyzer Page (Settings Dialog Box)
Logic Analyzer Interface Page (Settings Dialog Box)
PowerPlay Power Analyzer Settings Page (Settings Dialog Box)
SSN Analyzer Page (Settings Dialog Box)
Power Settings
Operating Settings and Conditions Page (Settings Dialog Box)
Voltage Page (Settings Dialog Box)
Temperature Page (Settings Dialog Box)
Software Connectivity
Internet Connectivity Page (Options Dialog Box)
Customize Flow Dialog Box
Copy Full Path Command (Right-Click Menu)
Copy File Name Command (Right-Click Menu)
About Simulating Designs
Running Timing Analysis
New SDC File Command (TimeQuest Timing Analyzer)
Open SDC File Command (TimeQuest Timing Analyzer)
Read SDC File Command
Set Operating Conditions Dialog Box (set_operating_conditions)
Report Timing Dialog Box
Report Minimum Pulse Width Dialog Box
Report False Path Dialog Box
Report Path Dialog Box
Report Exceptions Dialog Box
Report Bottlenecks Dialog Box
Report Net Timing Dialog Box
Report Skew Dialog Box (report_skew)
Report Max Skew Dialog Box (report_max_skew)
Report Net Delay
Report Metastability Command
Report Recovery Summary Command
Report Removal Summary Command
Report Timing Closure Recommendations Dialog Box
Timing Analysis Settings
Set Clock Groups Dialog Box (set_clock_groups)
Set Clock Latency Dialog Box (set_clock_latency)
Set Clock Uncertainty Dialog Box (set_clock_uncertainty)
Set False Path Dialog Box (set_false_path)
Set Input Delay Dialog Box (set_input_delay)
Set Output Delay Dialog Box (set_output_delay)
Set Maximum Delay Dialog Box (set_max_delay)
Set Minimum Delay Dialog Box (set_min_delay)
Set Multicycle Path Dialog Box (set_multicycle_path)
Integrating Other EDA Tools
About Using EDA Simulators
Simulation Settings
About Integrating Other EDA Tools
Preparing for EDA Simulation
Running EDA Simulators
Simulation Tools
Active-HDL
Performing a Simulation of a Verilog HDL Design with the Active-HDL Software
Performing a Simulation of a VHDL Design with the Active-HDL Software
ModelSim
Setting Up a Project with the ModelSim Software
Performing a Timing Simulation with the ModelSim Software
ModelSim-Altera
Setting Up a Project with the ModelSim-Intel FPGA Edition Software
Performing a Functional Simulation with the ModelSim-Intel FPGA Edition Software
Performing a Timing Simulation with the ModelSim-Intel FPGA Edition Software
Incisive Enterprise Simulator
Performing a Functional Simulation with the Incisive Enterprise Simulator Software
Performing a Timing Simulation with the Incisive Enterprise Simulator Software
QuestaSim
Setting Up a Project with the QuestaSim Software
Compiling Libraries and Design Files with the QuestaSim Software
Performing a Functional Simulation with the QuestaSim Software
Performing a Timing Simulation with the QuestaSim Software
Riviera-PRO
Performing a Functional Simulation with the Riviera-PRO Software
Performing a Post-Synthesis Simulation with the Riviera-PRO Software
Performing a Gate-Level Simulation with the Riviera-PRO Software
VCS MX
Performing a Functional Simulation with the VCS MX Software
Performing a Timing Simulation with the VCS MX (VHDL) Software
VCS
Performing a Functional Simulation with the VCS Software
Performing a Timing Simulation with the VCS Software
Design Entry/Synthesis Tools
Precision RTL Synthesis Software
About Using the Precision RTL Synthesis Software with the
Quartus
®
Prime
Software
Setting Up the Precision RTL Synthesis Working Environment
Creating a Design for Use with the Precision RTL Synthesis Software
Setting Up a Project with the Precision RTL Synthesis Software
Assigning Design Constraints with the Precision RTL Synthesis Software
Generating EDIF Netlist Files with the Precision RTL Synthesis Software
Synplify Software
Setting Up the Synplify Working Environment
Creating a Design for Use with the Synplify Software
Setting Up the DK Design Suite Working Environment
Generating Output Files for Board-Level Tools
Generating Board-Level Timing Analysis Files
Setting Up the Tau Working Environment
Creating Stamp Model Files with the
Quartus
®
Prime
Software
Performing Timing Verification with the Tau Software
Generating Board-Level Symbol Output Files
Generating FPGA Xchange-Format Files for Use with Other EDA Tools
Generating PartMiner edaXML-Format Files for Use with Other EDA Tools
Generating Board-Level Signal Integrity Analysis Files
Generating IBIS Output Files with the
Quartus
®
Prime
Software
Generating HSPICE Simulation Deck Files for External Signal Integrity Analysis
Generating Boundary-Scan Description Language Files
Create Board-Level Boundary-Scan File Window (File Menu)
Generating Boundary-Scan Description Language Output Files with the
Quartus
®
Prime
Software
Synopsys-Provided Logic Libraries
Example of Performing a Timing Simulation of a Synplify Verilog HDL Design with a Custom Megafunction Variation with the ModelSim Software
Using Project Revisions
Revisions Dialog Box
Compare Revisions Dialog Box
Create Revision Dialog Box
Archiving Projects
Advanced Archive Settings Dialog Box
Archive Project Dialog Box
Managing Project Databases
Creating Designs
Using the Block Editor
Block Diagram/Schematic File (New Dialog Box)
Block Properties Dialog Box (Shortcut Menu)
Block Symbol File (New Dialog Box)
Bus Properties Dialog Box
Conduit Properties Dialog Box
Create Design File from Selected Block Dialog Box
Create HDL Design File for Current File Dialog Box
Create AHDL Include Files for Current File Dialog Box (Block Editor)
Create Symbol Files for Current File Command (File Menu) (Block Editor)
Edit Selected Symbol Command (Shortcut Menu)
Using the Memory Editor
Go To Dialog Box
New Memory Initialization File Command (
Quartus
®
Prime
Menu)
Open Memory Dialog Box
Show Delimiter Spaces Command (View Menu)
Using the Text Editor
Autocomplete Text Command (Edit Menu)
Create VHDL Component Declaration Files for Current File Command (File Menu)
Create AHDL Include Files for Current File Command (File Menu)
Create Symbol Files for Current File Command (File Menu)
Create Verilog Instantiation Template Files for Current File Command (File Menu)
Insert Constraint Command (Shortcut Menu)
Insert File Command (Edit Menu)
Insert Template Dialog Box
Open AHDL Include File Command (Shortcut Menu)
Preferred Text Editor (Options Dialog Box)
Text Editor Page (Options Dialog Box)
Using HDL with the
Quartus
®
Prime
Software
Quartus
®
Prime
Primitives
Primitives
List of Primitives
ALT_BIDIR_BUF Primitive
ALT_BIDIR_DIFF Primitive
ALT_INBUF Primitive
ALT_INBUF_DIFF Primitive
ALT_IOBUF Primitive
ALT_IOBUF_DIFF Primitive
ALT_OUTBUF Primitive
ALT_OUTBUF_DIFF Primitive
ALT_OUTBUF_TRI Primitive
ALT_OUTBUF_TRI_DIFF Primitive
AND Primitive
BAND (Block Design Files only) Primitive
BIDIR or INOUT Primitive/Port
BNAND (Block Design Files only) Primitive
BNOR (Block Design Files only) Primitive
BOR (Block Design Files only) Primitive
CARRY_SUM Primitive
CASCADE Primitive
CONSTANT Primitive
DFF Primitive
DFFE Primitive
DLATCH Primitive
EXP Primitive
GLOBAL Primitive
GND (Block Design Files only) Primitive
INPUT or IN Primitive/Port
JKFF Primitive
JKFFE Primitive
LATCH Primitive
LCELL Primitive
LUT_INPUT Primitive
LUT_OUTPUT Primitive
NAND Primitive
NOR Primitive
NOT Primitive
OPNDRN Primitive
OR Primitive
PARAM Primitive
Primitive/Port Interconnections
SOFT Primitive
SRFF Primitive
SRFFE Primitive
TFF Primitive
TFFE Primitive
Title Block Primitive
TRI Primitive
Unused Inputs to Primitives, Megafunctions & Macrofunctions
VCC (Block Design Files only) Primitive
WIRE (Block Design Files only) Primitive
XNOR Primitive
XOR Primitive
Pinstub Names in Primitives
WYSIWYG Atom Names Unavailable for Use as Primitive Instance Names
Managing IP in
Quartus
®
Prime
About the IP Catalog and Parameter Editor
Upgrade IP Components Dialog Box
Megafunctions/LPM
HDL Language Support
Quartus
®
Prime
Support for VHDL 2008
New Features in VHDL 1993
Quartus
®
Prime
Support for Verilog 2001
Verilog HDL Reserved Words
Quartus
®
Prime
Verilog HDL Support
Working with Qsys Pro
Qsys Pro Component Editor
Add Commands (Templates Menu) (Component Editor)
Qsys Pro
Component Editor
Template Command (
Qsys Pro
Component Editor)
Files Tab (
Qsys Pro
Component Editor)
Parameters Tab (
Qsys Pro
Component Editor)
Interfaces Tab (
Qsys Pro
Component Editor)
Working with Presets in Qsys Pro
New Preset Dialog Box (
Qsys Pro
)
Presets Tab (
Qsys Pro
)
Update Preset Dialog Box (
Qsys Pro
)
Create a Qsys Pro System
Add Commands (Templates Menu) (Component Editor)
Custom Layouts (View Menu) (
Qsys Pro
)
Interconnect Requirements Tab (View Menu) (
Qsys Pro
)
Messages Tab (View Menu) (
Qsys Pro
)
New Component Command (File Menu) (
Qsys Pro
)
New System Command (File Menu) (
Qsys Pro
)
Add Instance Dialog Box (
Qsys Pro
)
Create Snythesis File From Signals Dialog Box (
Qsys Pro
)
IP Catalog (View Menu) (
Qsys Pro
)
Qsys Pro Commands
Assign Custom Instruction Opcodes Command (System Menu) (
Qsys Pro
)
Assign Base Addresses Command (System Menu) (
Qsys Pro
)
Assign Interrupt Numbers (System Menu) (
Qsys Pro
)
Browse Project Directory (File Menu) (
Qsys Pro
)
Create Global Reset Network Command (System Menu) (
Qsys Pro
)
Generate Example Design (Generate Menu) (
Qsys Pro
)
Lock/Unlock Base Address Commands (Edit Menu) (
Qsys Pro
)
Nios II Software Build Tools for Eclipse Command (Tools Menu) (
Qsys Pro
)
Nios II Command Shell [gcc4] Command (Tools Menu) (
Qsys Pro
)
Parameters Tab (View Menu) (
Qsys Pro
)
Recent Projects (File Menu) (
Qsys Pro
)
Refresh System Command (File Menu) (
Qsys Pro
)
Remove Dangling Connections Command (System Menu) (
Qsys Pro
)
Reset to IP Layout (View Menu) (
Qsys Pro
)
Reset to System Layout (View Menu) (
Qsys Pro
)
Show System With
Qsys Pro
Interconnect Command (System Menu) (
Qsys Pro
)
Upgrade IP Cores Dialog Box (System Menu) (
Qsys Pro
)
Options Dialog Box (Tools Menu) (
Qsys Pro
)
View a Qsys Pro System
Assignments Tab (View Menu) (
Qsys Pro
)
Block Symbol Tab (View Menu) (
Qsys Pro
)
Connections Tab (View Menu) (
Qsys Pro
)
Custom Layouts (View Menu) (
Qsys Pro
)
Element Docs Tab (
Qsys Pro
)
Hierarchy Tab (View Menu) (
Qsys Pro
)
Parameters Tab (View Menu) (
Qsys Pro
)
Reset Domains (View Menu) (
Qsys Pro
)
Schematic Tab (View Menu) (
Qsys Pro
)
Set Color (Edit Menu) (
Qsys Pro
)
Clock Domains (View Menu)
Qsys Pro
)
Create Snythesis File From Signals Dialog Box (
Qsys Pro
)
Address Map Tab (View Menu) (
Qsys Pro
)
System Contents Tab (View Menu) (
Qsys Pro
)
Component Instantiation Editor (Component Instantiation Tab)
Interface Requirements Tab
System Info Tab
Validate Component Footprint
Validate System Integrity
Generate in Qsys Pro
Generate Example Design (Generate Menu) (
Qsys Pro
)
Generate Testbench System (Generate Menu) (
Qsys Pro
)
Generate HDL (Generate Menu) (
Qsys Pro
)
Generate Example Design (Generate Menu) (
Qsys Pro
)
Debug in Qsys Pro
Instrumentation Tab (View Menu) (
Qsys Pro
)
BluePrint Planning
BluePrint Flow Control
BluePrint Assignments Tab
BluePrint Home Tab
BluePrint Plan Tab
BluePrint Reports Tab
Constraining Designs
Assign Groups
Group Dialog Boxes
Back-Annotate Pins
Back-Annotate Command (Shortcut Menu) (Pin Planner)
Manage I/O Pins
Pin Planner Command (Assignments Menu)
Set Up Top-Level Design File Window (Edit Menu)
Import Assignments
Import Assignments Dialog Box (Assignments Menu)
Edit Assignments
Assignment Editor Command (Assignments Menu)
Using Advisors for Design Optimization
About Advisors in the
Quartus
®
Prime
Software
Arria 10 to Stratix 10 Migration Advisor Command (Tools Menu)
Compilation Time Advisor Command (Tools Menu)
Pin Advisor Command (Tools Menu)
Power Optimization Advisor Command (Tools Menu)
Tips and Tricks Command (Help Menu)
Resource Optimization Advisor Command (Tools Menu)
Timing Optimization Advisor Command (Tools Menu)
Viewing Reports and Messages
Compilation Reports Generated
Manipulating Compilation or Simulation Report Window Output
Message Suppression Manager Dialog Box
Messages Page (Options Dialog Box)
Plan Stage Reports
Early Place Stage Reports
Place Stage Reports
Route Stage Reports
Finalize Stage Reports
Compiling Designs
Start Compilation Command (Processing Menu)
Start Analysis & Synthesis Command (Processing Menu)
Start Fitter Commands (Processing Menu)
Start Assembler Command (Processing Menu)
Compiler Settings
Compilation Process Settings Page (Settings Dialog Box)
Recommendations Dialog Box
Device Page (Settings Dialog Box)
Board Page (Settings Dialog Box)
More Compilation Process Settings Dialog Box
Device and Pin Options Dialog Box
CvP Settings Page (Device and Pin Options Dialog Box)
Error Detection CRC Page (Device and Pin Options Dialog Box)
General Page (Device and Pin Options Dialog Box)
I/O Timing Page (Device and Pin Options Dialog Box)
Programming Files Page (Device and Pin Options Dialog Box)
Unused Pins Page (Device and Pin Options Dialog Box)
Voltage Page (Device and Pin Options Dialog Box)
Board Trace Model Page (Device and Pin Options Dialog Box)
Configuration Page (Device and Pin Options Dialog Box)
Dual-Purpose Pins Page (Device and Pin Options Dialog Box)
Synthesis
Start Analysis & Synthesis Command (Processing Menu)
Place & Route
Start Fitter Commands (Processing Menu)
Compiler Settings Page (Settings Dialog Box)
Advanced Synthesis Settings Dialog Box
Partial Reconfiguration
Design Partitions Window
Set As Design Partition Command (Shortcut Menu)
Assembler Programming Files
Generating Programming Files
Start Assembler Command (Processing Menu)
Add JTAG ID Dialog Box
Export User-Defined Device Dialog Box
Import User Devices Dialog Box
Edit Device Dialog Box
Add Hex Data Dialog Box
Hexadecimal File Options Dialog Box
Hardware Setup Dialog Box
Open JTAG Chain Log File Dialog Box
New CFI Flash Device Dialog Box
New Device Dialog Box
OpenCore Plus Status Dialog Box
PMSF File Properties Dialog Box
Select Device Dialog Box
Select Flash Device Dialog Box
Select New Flash Device Dialog Box
SOF Data Properties Dialog Box
SOF File Properties Dialog Box
Add Hardware Dialog Box
Add Server Dialog Box
Configure Local JTAG Server Dialog Box
Convert Programming Files - Advanced Options Dialog Box
Define CFI Flash Device Dialog Box
Device's Properties Dialog Box
Debugging and Optimization
Debugging with the SignalTap II Logic Analyzer
View Page (SignalTap II Logic Analyzer) (Options Dialog Box)
Waveform Display Pane (SignalTap II Logic Analyzer)
SignalTap II Logic Analyzer Page (Settings Dialog Box)
Add Entry Dialog Box
Add State Machine Nodes Dialog Box
Find Bus Value Dialog Box
Import Table Dialog Box
Insert Value Dialog Box
Add Table Dialog Box
Mnemonic Table Setup Dialog Box
Object Properties Dialog Box
Plug-In Options Dialog Box
Print Options Dialog Box (SignalTap II Logic Analyzer)
Recreate State Machine Mnemonics Dialog Box
Example of Using a Bitwise Object in an Advanced Trigger Condition
Example of Using a Comparison Object and Pipelining in an Advanced Trigger Condition
Examples of Constructing Advanced Trigger Conditions for the SignalTap II Logic Analyzer
Example of Using Data Delay in an Advanced Trigger Condition
Example of Using an Edge & Level Detector Object and Logical Conditions in an Advanced Trigger Condition
Example of Using a Shift Object in an Advanced Trigger Condition
Advanced Trigger Tab (SignalTap II Logic Analyzer)
Data Tab (SignalTap II Logic Analyzer)
Node List Pane (SignalTap II Logic Analyzer)
Object Library Pane (SignalTap II Logic Analyzer)
Setup Tab (SignalTap II Logic Analyzer)
Signal Configuration Pane (View Menu) (SignalTap II Logic Analyzer)
SignalTap II Logic Analyzer Page (Options Dialog Box)
State-Based Trigger Flow Tab (SignalTap II Logic Analyzer)
Debugging with SignalProbe
Start SignalProbe Compilation Command (Processing Menu)
SignalProbe Pins Dialog Box
Add SignalProbe Pin Dialog Box
Debugging with the In-System Memory Content Editor
In-System Memory Content Editor Window
Debugging with the In-System Sources and Probes Editor
Select JTAG Debugging Information File Dialog Box
Debugging with the Logic Analyzer Interface
Logic Analyzer Interface Editor Window
Logic Analyzer Interface Page (Settings Dialog Box)
Debugging with the Transciever Toolkit
Load Design Dialog Box
Transceiver Toolkit Window
Specify Management Clock Dialog Box
Report Panel (Transceiver Toolkit)
System Console
About System Console Window
Auto Sweep Panel (Receiver/Transceiver)
Control Channel and Control Link Panels
Execute Script Dialog Box
Optimizing Designs with the Design Space Explorer
Launch Design Space Explorer Command (Tools Menu)
Optimizing Routing with the Chip Planner
Resource Property Editor Page (Options Dialog Box)
Create Atom Dialog Box
Layers Settings Dialog Box
Locate History Pane (Chip Planner)
Properties Tab (Chip Planner)
Report Window (Chip Planner)
Report Compilation Messages (Chip Planner)
Report HSSI Block Connectivity dialog box (Chip Planner)
Report Pins Dialog Box (Chip Planner)
Report Placed Pins By I/O Standard
Properties dialog box (Chip Planner)
Report Resources Dialog Box (Chip Planner)
Report Spine Clock Utilization dialog box (Chip Planner)
Report Used Clock Regions dialog box (Chip Planner)
Report Routing Utilization Dialog Box
Tasks Window (Chip Planner)
Partition Reports
Chip Planner Page (Options Dialog Box)
Report Design Partitions Advanced Dialog Box (Chip Planner)
Design Partition Planner
About the Design Partition Planner
Design Partition Planner Interface
Integration with Chip Planner:
How to use the Design Partition Planner Efficiently:
Design Partition Planner Commands
Bundle Configuration Dialog Box (Design Partition Planner)
Bundle Properties Dialog Box (Design Partition Planner)
Design Partition Planner Page (Options Dialog Box)
Design Partition Planner Commands
Design Partition Planner Page (Options Dialog Box)
Power Estimation and Analysis
PowerPlay Power Analyzer Tool Window
Start PowerPlay Power Analyzer Command (Processing Menu)
Add/Edit Power Input File Dialog Box
Generate PowerPlay Early Power Estimator File Command (Project Menu)
HPS Power Calulator Dialog Box
Select Hierarchy Dialog Box
PowerPlay Power Analyzer Assignment Names
Designing with LogicLock Plus Regions
Creating
LogicLock
®
Plus
Regions
Creating a Hole in a
LogicLock
®
Plus
Region
LogicLock
®
Plus
Region Properties Dialog Box
Shapes tab
LogicLock
®
Plus
Routing Region Settings Dialog Box
Add Node Dialog Box
Export Assignments Dialog Box
LogicLock
®
Plus
Regions Window
Core-Only
Routing Region
Understanding
LogicLock
®
Plus
Region Assignments
Engineering Change Management
Using the Netlist Viewer
Bird's Eye View Command (View Menu)
Hide Selection Commands (Shortcut Menu)
Filter Commands (Shortcut Menu)
Expand to Upper Hierarchy (Shortcut Menu)
Generate HDL File Command (Tools Menu)
Input Ports List/Ouput Ports List Commands (View Menu)
Properties Pane (Netlist Viewers)
RTL Viewer Command (Tools Menu)
Generate Other Files Dialog Box
Technology Map Viewer Command (Tools Menu)
Select Bus Index Dialog Box
Find Options Dialog Box (Netlist Viewers)
Find Pane (Netlist Viewers)
Devices and Adapters
Devices and Adapters
Logic Options Definition
Quartus
®
Prime
Scripting Support
About
Quartus
®
Prime
Scripting
Shortcuts
Keyboard Shortcuts and Toolbar Buttons
Glossary
Glossary
Tcl Packages and Commands
API Functions for Tcl
Messages
List of Messages
List of Messages
Your browser does not support iframes.