Parameterizable Macros for Intel® FPGAs User Guide

ID 772350
Date 7/08/2024
Public
Document Table of Contents

5.6.5. Bus Synchronizer SystemVerilog Instantiation Template

Bus Synchronizer SystemVerilog Instantiation Template

//Quartus Prime Parameterizable Macro Template
//IPM_CDC_BUS_SYNC
//Documentation :
//https://www.intel.com/content/www/us/en/docs/programmable/772350/
//Macro Location :
//$QUARTUS_ROOTDIR/libraries/megafunctions/ipm_cdc_bus_sync.sv
	
   ipm_cdc_bus_sync #(
		DATA_WIDTH			(4)
	) <instance_name> (
.src_clk   	 (_connected_to_src_clk_),      //input, width = 1
.src_sig        (_connected_to_src_sig_),      //input, width = DATA_WIDTH
.dst_clk        (_connected_to_dst_clk_),      //input, width = 1
.dst_sig        (_connected_to_dst_sig_),      //output, width = DATA_WIDTH
.src_sync_req   (_connected_to_src_sync_req_), //output, width = 1
.dst_sync_ack   (_connected_to_dst_sync_ack_), //output, width = 1
.src_req        (_connected_to_src_req_),      //output, width = 1
.dst_ack         (_connected_to_dst_ack_)      //output, width = 1
	);