Intel® Quartus® Prime Pro Edition User Guide: Timing Analyzer

ID 683243
Date 3/28/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.5.1.1. Report Fmax Summary

The Timing Analyzer's Reports > Datasheet > Report Fmax Summary command reports the maximum frequency of each clock in your design. The equivalent scripting command is report_clock_fmax_summary.

The Timing Analyzer computes fMAX for all paths where the same clock drives the source and destination registers or ports. The Timing Analyzer ignores paths of different clocks and generated clocks. For paths between a clock and its inversion, the Timing Analyzer computes fMAX as if the rising and falling edges scale along with fMAX, such that the duty cycle (in terms of a percentage) is maintained. You must constrain all clocks for accurate timing analysis.

Figure 43. Fmax Summary Report

The Restricted Fmax can indicate a "Limit due to hold check." Typically, hold checks do not limit the maximum frequency (fMAX) because these checks are for same-edge relationships, and therefore independent of clock frequency. An example of this occurs when launch equals zero and latch equals zero. However, with an inverted clock transfer, or a multicycle transfer (such as setup=2, hold=0), then the hold relationship is not a same-edge transfer and changes with the clock frequency.