Quartus® Prime Pro Edition User Guide: Design Compilation

ID 683236
Date 4/01/2024
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

1.16. Synthesis Language Support

The Quartus® Prime software synthesizes standard Verilog HDL, VHDL, and SystemVerilog design files.