Visible to Intel only — GUID: jlb1735679610710
Ixiasoft
Answers to Top FAQs
1. FPGA Simulation Basics
2. Siemens EDA QuestaSim* Simulator Support
3. Synopsys VCS* and VCS MX Support
4. Aldec Active-HDL and Riviera-PRO Support
5. Cadence Xcelium* Parallel Simulator Support
6. Quartus® Prime Pro Edition User Guide Third-party Simulation Archive
A. Quartus® Prime Pro Edition User Guides
1.1. FPGA Simulation Essential Elements
1.2. Overview of Simulation Tool Flow
1.3. Simulation Tool Flow
1.4. Supported Simulation Flows
1.5. Supported Hardware Description Languages
1.6. Supported Simulation Types
1.7. Supported Simulators
1.8. Post-Fit Simulation Support by FPGA Family
1.9. Automating Simulation with the Run Simulation Feature
1.10. Using Precompiled Simulation Libraries
1.11. FPGA Simulation Basics Revision History
1.9.2.1. Specifying Required Simulation Settings for Run Simulation (Batch Mode)
1.9.2.2. Optional Simulation Settings for Run Simulation (Batch Mode)
1.9.2.3. Launching Simulation with the Run Simulation Feature
1.9.2.4. Running RTL Simulation using Run Simulation
1.9.2.5. Output Directories and Files for Run Simulation
Visible to Intel only — GUID: jlb1735679610710
Ixiasoft
1.9.3. Simulating Intel FPGA IP Example Designs using the Run Simulation Feature
In general, Intel FPGA IP example designs support the Run Simulation feature.
If the following EDA_EXDES_CUSTOM_SIM_SCRIPT_ parameters are present in the generated Quartus Settings File (.qsf) of the Intel FPGA IP example design, then you can use the Run Simulation feature to perform simulation of the example design.
set_global_assignment -name EDA_EXDES_CUSTOM_SIM_SCRIPT_QUESTA ../example_testbench/run_vsim.do -section_id eda_simulation set_global_assignment -name EDA_EXDES_CUSTOM_SIM_SCRIPT_VCS ../example_testbench/run_vcs.sh -section_id eda_simulation set_global_assignment -name EDA_EXDES_CUSTOM_SIM_SCRIPT_VCSMX ../example_testbench/run_vcsmx.sh -section_id eda_simulation set_global_assignment -name EDA_EXDES_CUSTOM_SIM_SCRIPT_RIVIERAPRO ../example_testbench/run_rivierasim.do -section_id eda_simulation set_global_assignment -name EDA_EXDES_CUSTOM_SIM_SCRIPT_XCELIUM ../example_testbench/run_xcelium.sh -section_id eda_simulation
The following summary steps describe setup and simulation of an Intel FPGA example design:
- Properly invoke the Quartus Prime Pro Edition software license and your supported EDA simulator tool license, and launch the Quartus Prime Pro Edition software.
- To specify the executable path for your supported EDA simulator, click Tools > Options > EDA Tool Options. Refer to Installation Paths for Supported EDA Simulators for setting descriptions.
- Select the Simulator Tool and other optional simulation settings at Tools > Options > Board and IP Settings > IP Simulation. Refer to Simulation Options and Simulation Flow Settings for setting descriptions.
- Compile the project through the Analysis & Elaboration stage, as Running RTL Simulation using Run Simulation describes.
- Run RTL simulation in GUI mode or batch mode, as Running RTL Simulation using Run Simulation describes.