Quartus® Prime Pro Edition User Guide: Third-party Simulation

ID 683870
Date 7/08/2024
Public
Document Table of Contents

1.9.1.3. Simulation Flow Settings (EDA Tool Settings Page)

The simulation flow settings allow you to specify additional options for the automated simulation flow. Click Assignments > Settings > EDA Tool Settings > Simulation > Simulation Flow Settings to specify any of the following additional options.
Table 10.  Simulation Flow Settings (EDA Tool Settings Page) Settings Dialog Box
Name Setting Description
Clean previous simulation directory if exists

Off

On (Default)

Allows you to clean (On) or retain (Off) the simulation directory created by the previous simulation run.
Command-line/batch mode

Off (Default)

On

Allows you to launch a third-party EDA tool in command-line mode (On) rather than opening the GUI (Off).

Compile options for VHDL IP RTL string Allows you to specify additional custom compilation options for one or more simulators to be applied on the IP VHDL RTL. For example:

questa=my_questa_options

vcs=my_vcs_options

vcsmx=my_vcsmx_options

activehdl=my_activehdl_options

xcelium=my_xcelium_options

rivierapro=my_activehdl_options

Compile options for VHDL Non-IP/User RTL string Allows you to specify additional custom compilation options for one or more simulators to be applied on the Non-IP VHDL RTL.
Compile options for Verilog IP RTL string Allows you to specify additional custom compilation options for one or more simulators to be applied on the IP Verilog RTL.
Compile options for Verilog Non-IP/User RTL string Allows you to specify additional custom compilation options for one or more simulators to be applied on the Non-IP Verilog RTL.
Compile options for both Verilog and VHDL IP RTL string Allows you to specify additional custom compilation options for one or more simulators to be applied on the IP Verilog and VHDL RTL.
Compile options for both Verilog and VHDL Non-IP/User RTL string Allows you to specify additional custom compilation options for one or more simulators to be applied on the non-IP Verilog and VHDL RTL.
Elaboration options string Allows you to specify additional custom simulation elaboration options for one or more simulators.
Simulation options string Allows you to specify additional custom simulation options for one or more simulators.
Simulation scripts generation only

Off

On (Default)

Allows you to generate only the command scripts for the third-party EDA tool without launching the simulator itself.

Select Off to launch the simulator using the Run Simulation feature.