AN 886: Intel® Agilex™ Device Design Guidelines

ID 683634
Date 8/26/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

7.10. Power Optimization

Intel® Agilex™ devices utilize advanced process and circuit techniques, along with major circuit and architecture innovations, to minimize power and deliver high performance.

To reduce dynamic power consumption in Intel® Agilex™ devices, you can use various design and software techniques to optimize your design.

Power optimization in the Intel® Quartus® Prime software depends on accurate power analysis results. Use the guidelines in the previous section to ensure the software optimizes the power utilization correctly for the design’s operating behavior and conditions.

For more information about power optimization, refer to the Intel® Agilex™ Power Management User Guide.