Quartus® Prime Standard Edition User Guide: Design Compilation
Visible to Intel only — GUID: mwh1409959788729
Ixiasoft
Visible to Intel only — GUID: mwh1409959788729
Ixiasoft
2.7.3.1. Example Step 3—Project Lead Performs Final Timing Analysis and Sign-off
create_clock -name {clk} -period 3.000 -waveform { 0.000 1.500 } \ [get_ports {TOP_LEVEL_CLK}] # Include the lower-level SDC file set module_A_hierarchy "module_A:inst|" # Note the final '|' character source <partition-specific constraint file such as ..\module_A\module_A_constraints>.sdc
When the project lead performs top-level timing analysis, the false path assignment from the lower-level module_A project expands to the following:
set_false_path -from module_A:inst|reg_in_1 -to module_A:inst|*
Adding the hierarchy path as a prefix to the SDC command makes the constraint legal in the top-level design, and ensures that the wildcard does not affect any nodes outside the partition that it was intended to target.