Low Latency Ethernet 10G MAC Intel® FPGA IP Design Example User Guide: Agilex™ 5 FPGAs and SoCs

ID 813665
Date 4/01/2024
Public
Document Table of Contents

1.2.1. Procedure

You can generate the design example from the IP Parameter Editor.
Figure 3. Example Design Tab
  1. In the Quartus® Prime Pro Edition software, click File > New Project Wizard to create a new Quartus Prime project, or File > Open Project to open an existing Quartus Prime project. The wizard prompts you to specify a device.
  2. Select Agilex™ 5 device family and select a device for your design.
  3. Click Finish to close the wizard.
  4. Select Tools > IP Catalog to open the IP Catalog and select Low Latency Ethernet 10G MAC Intel® FPGA IP .
    The IP parameter editor appears.
  5. Specify a top-level name, the folder for your custom IP variation, and the target device. Click OK.
  6. To generate a design example, select a design example preset from the Presets library and click Apply. When you select a design, the system automatically populates the IP parameters for the design. The parameter editor automatically sets the parameters required to generate the design example. Do not change the preset parameters in the IP tab.
  7. Specify the parameters in the Example Design tab.
  8. Click the Generate Example Design.
The software generates all design files in sub-directories. You require these files to run simulation and compilation.