Intel® Quartus® Prime Pro Edition User Guide: Scripting

ID 683432
Date 3/28/2022
Public

A newer version of this document is available. Customers should click here to go to the newest version.

Document Table of Contents

3.1.34.14. reset_timing_derate (::quartus::sdc_ext)

The following table displays information for the reset_timing_derate Tcl command:

Tcl Package and Version

Belongs to ::quartus::sdc_ext

Syntax reset_timing_derate [-h | -help] [-long_help]
Arguments -h | -help Short help
  -long_help Long help with examples and possible return values
Description
Resets all derate factors set on the design.
Example Usage
# set timing derate
set_timing_derate -late 0.2 [get_cells *]
update_timing_netlist

# reset all derate factors
reset_timing_derate
update_timing_netlist
Return Value Code Name Code String Return
TCL_OK 0 INFO: Operation successful
TCL_ERROR 1 ERROR: Timing netlist does not exist. Use create_timing_netlist to create a timing netlist.