Intel® Quartus® Prime Pro Edition User Guide: Timing Analyzer
A newer version of this document is available. Customers should click here to go to the newest version.
Visible to Intel only — GUID: ntz1535573669856
Ixiasoft
Visible to Intel only — GUID: ntz1535573669856
Ixiasoft
3.6.4.2. Entity-bound Constraint Examples
The following table illustrates the automatic scope of constraints as they apply to Automatic Scope Example.
Constraint Example | Auto-Scope Constraint Interpretation for Instance X|Y |
---|---|
set_false_path -from [get_keepers a] | set_false_path -from [get_keepers X|Y|a] |
set_false_path -from [get_registers a] -to “*” | set_false_path -from [get_registers X|Y|a] |
set_false_path –from [get_clocks clk_1] –to [get_clocks clk_2] | set_false_path –from [get_clocks clk_1] –to [get_clocks clk_2] |
set_max_delay –from [get_ports in] -to [get_registers A] 2.0 | set_max_delay –from [get_ports in] -to [get_registers X|Y|A] 2.0 |
get_ports * | get_ports * |
get_clocks * |
get_clocks * |
get_ports a |
get_ports a |
get_clocks a |
get_clocks a |
The following table illustrates the manual scope of constraints as they apply to Manual Scope Example.
Constraint Example | Manual Scope Constraint Interpretation |
---|---|
|
|
|
|