::quartus::names
The following table displays information for the ::quartus::names Tcl package:
Tcl Package and Version | ::quartus::names 1.0 |
Description |
This package contains no general description. |
Availability |
This package is available for loading in the following executables: qpro_sh quartus_asm quartus_cdb quartus_eda quartus_fit quartus_map quartus_pow quartus_sh quartus_sta quartus_syn |
Tcl Commands |
get_assignment set_assignment |