::quartus::dcmd_dms

The following table displays information for the ::quartus::dcmd_dms Tcl package:

Tcl Package and Version ::quartus::dcmd_dms 1.0
Description
This package contains no general description.
Availability
This package is available for loading in the following executables:

    quartus
    quartus_sh
    quartus_sta
    quartus_syn
Tcl Commands
dni::color
dni::get_property
dni::highlight
dni::is_dni_mode
dni::list_properties
dni::load_design
dni::selection
dni::set_property
dni::unload_design