VHDL Component Declaration

The following VHDL component declaration is located in the VHDL Design File (.vhd) Definition LPM_PACK.vhd in the <Intel® Quartus® Prime installation directory>\libraries\vhdl\lpm directory.

component LPM_CLSHIFT

        generic (LPM_WIDTH : natural;    -- MUST be greater than 0

                 LPM_WIDTHDIST : natural;    -- MUST be greater than 0

                                 LPM_SHIFTTYPE : string := "LOGICAL";

                                 LPM_TYPE : string := L_CLSHIFT;

                                 LPM_HINT : string := "UNUSED");

                 port (DATA : in STD_LOGIC_VECTOR(LPM_WIDTH-1 downto 0);

                           DISTANCE : in STD_LOGIC_VECTOR(LPM_WIDTHDIST-1 downto 0);

                           DIRECTION : in STD_LOGIC := '0';

                           RESULT : out STD_LOGIC_VECTOR(LPM_WIDTH-1 downto 0);

                           UNDERFLOW : out STD_LOGIC;

                           OVERFLOW : out STD_LOGIC);

end component;