VHDL Component Declaration
The following VHDL component declaration is located in the VHDL Design File (.vhd) DefinitionALTERA_MF_COMPONENTS.vhd in the < Quartus® Prime installation directory>\libraries\vhdl\altera_mf directory.
component altufm_spi
generic (
access_mode : string;
config_mode : string;
intended_device_family : string := "unused";
erase_time : natural := 500000000;
lpm_file : string := "UNUSED";
osc_frequency : natural := 180000;
program_time : natural := 1600000;
width_ufm_address : natural := 9;
lpm_hint : string := "UNUSED";
lpm_type : string := "altufm_spi"
);
port(
ncs : in std_logic;
osc : out std_logic;
sck : in std_logic;
si : in std_logic;
so : out std_logic
);
end component;