I/O Megafunctions

I/O components include clock data recovery (CDR), Phase-Locked Loop (PLL) Definition, double data rate (DDR) Definition, gigabit transceiver block (GXB) Definition, LVDS receiver, LVDS transmitter, PLL reconfiguration, and remote update megafunctions.

text-align:left;

IP Catalog

Megafunction(s)

Comments

ALTASMI_PARALLEL

altasmi_parallel

Active serial memory interface parallel megafunction.

ALTCLKCTRL

altclkctrl

Clock control block megafunction.

ALTCLKLOCK

altclklock

Parameterized PLL megafunction.

ALTDDIO_BIDIR

altddio_bidir

DDR bidirectional megafunction.

ALTDDIO_IN

altddio_in

DDR input megafunction.

ALTDDIO_OUT

altddio_out

DDR output megafunction.

ALTDLL

altdll

delay-locked loop (DLL) Definition megafunction.

ALTDQ

altdq

Data strobe megafunction.

ATLDQS

altdqs

Parameterized bidirectional data strobe megafunction.

ALTDQ_DQS

atldq_dqs

Parameterized data strobe megafunction.

ALTGX

altgx

High-speed serial interface (HSSI) GXB megafunction.

ALTIOBUF

altiobuf_bidir

Bidirectional I/O buffer megafunction.

altiobuf_in

Input I/O buffer megafunction.

altiobuf_out

Output I/O buffer megafunction.

ALTLVDS_RX

altlvds_rx

LVDS receiver megafunction.

ALTLVDS_TX

altlvds_tx

LVDS transmitter megafunction.

ALTMEMPHY

ALTMEMPHY

External DDR Memory PHY interface megafunction.

ALTOCT

alt_oct

on-chip termination (OCT) Definition megafunction.

ALTPLL

altpll

Parameterized PLL megafunction.

ALTPLL_RECONFIG

altpll_reconfig

Parameterized PLL reconfiguration megafunction.

ALTREMOTE_UPDATE

altremote_update

Parameterized remote update megafunction.

 

altstratixii_oct

Parameterized OCT megafunction.

ALTTEMP_SENSE

alttemp_sense

Temperature sensing diode (TSD) block megafunction.

MAX® II oscillator

altufm_osc

Oscillator megafunction.