VHDL Component Declaration
The following VHDL component declaration is located in the VHDL Design File (.vhd) DefinitionALTERA_MF_COMPONENTS.vhd in the <Quartus® Prime installation directory>\libraries\vhdl\altera_mf directory.
component altsource_probe
generic (
enable_metastability : string := "NO";
instance_id : string := "UNUSED";
lpm_hint : string := "altsource_probe";
lpm_type : string := "altsource_probe";
probe_width : natural := 1;
sld_auto_instance_index : string := "YES";
sld_instance_index : natural := 0;
source_initial_value : string := "0";
source_width : natural := 1 );
port(
probe : in std_logic_vector(PROBE_WIDTH-1 downto 0) := (others => '0');
source : out std_logic_vector(SOURCE_WIDTH-1 downto 0);
source_clk : in std_logic := '0';
source_ena : in std_logic := '1'
);
end component;