Nios® V Embedded Processor Design Handbook

ID 726952
Date 7/08/2024
Public
Document Table of Contents

6.2.3.3. Running the Capture Session

You can begin data acquisition with the Signal Tap logic analyzer.

First, program the FPGA with the .sof that the Quartus® Prime software generates. Next, run Signal Tap analysis, either manually through the Signal Tap Instance Manager or automatically when the FPGA is programmed and power-up triggering is selected. If the system meets the trigger conditions, the Signal Tap logic analyzer displays the acquired data in the Signal Tap results window.

You can use the Signal Tap logic analyzer in two different types of data capture sessions, one with the Ashling* RiscFree* IDE for Intel® FPGAs and the other in stand-alone mode.