Visible to Intel only — GUID: wnb1709142194844
Ixiasoft
Answers to Top FAQs
1. FPGA Simulation Basics
2. Siemens EDA QuestaSim* Simulator Support
3. Synopsys VCS* and VCS MX Support
4. Aldec Active-HDL and Riviera-PRO Support
5. Cadence Xcelium* Parallel Simulator Support
6. Quartus® Prime Pro Edition User Guide Third-party Simulation Archive
A. Quartus® Prime Pro Edition User Guides
1.1. FPGA Simulation Essential Elements
1.2. Overview of Simulation Tool Flow
1.3. Simulation Tool Flow
1.4. Supported Simulation Flows
1.5. Supported Hardware Description Languages
1.6. Supported Simulation Types
1.7. Supported Simulators
1.8. Post-Fit Simulation Support by FPGA Family
1.9. Automating Simulation with the Run Simulation Feature
1.10. FPGA Simulation Basics Revision History
1.9.2.1. Specifying Required Simulation Settings for Run Simulation (Batch Mode)
1.9.2.2. Optional Simulation Settings for Run Simulation (Batch Mode)
Optional Setting To Run Simulation for Specific Time Interval
Optional Setting for Custom Wave File
Optional Setting for Elaboration Options
Simulator GUI or Batch Mode Operation Optional Setting
1.9.2.3. Launching Simulation with the Run Simulation Feature
1.9.2.4. Running RTL Simulation using Run Simulation
1.9.2.5. Output Directories and Files for Run Simulation
Visible to Intel only — GUID: wnb1709142194844
Ixiasoft
1.9.2.2. Optional Simulation Settings for Run Simulation (Batch Mode)
There are both required and optional setting for use of Run Simulation in batch mode.
The following examples show how to specify optional simulation settings for use of Run Simulation in batch mode:
Optional Setting To Run Simulation for Specific Time Interval
set_global_assignment -name EDA_TEST_BENCH_RUN_SIM_FOR “1 ns” \ -section_id testbenchSet
Optional Setting for Custom Wave File
set_global_assignment -name EDA_SIMULATION_WAVE_FILE_QUESTA_INTEL wave.do \ -section_id testbenchSet
Optional Setting for Elaboration Options
For Questa* Intel® FPGA Edition or QuestaSim*:
set_global_assignment -name EDA_EXTRA_ELAB_OPTION "questa=-suppress 2732 -suppress 14408 -suppress 16154" -section_id eda_simulation
For VCS* (Linux-only):
set_global_assignment -name EDA_EXTRA_ELAB_OPTION "vcs=+define+IP7521SERDES_UX_SIMSPEED\ " -section_id eda_simulation
For VCS* MX (Linux-only):
set_global_assignment -name EDA_EXTRA_ELAB_OPTION "vcsmx=+define+IP7521SERDES_UX_SIMSPEED\ " -section_id eda_simulation
For Active-HDL* (Windows* only):
set_global_assignment -name EDA_EXTRA_ELAB_OPTION "activehdl=<third-party elab options>" -section_id eda_simulation
For Riviera-PRO*:
set_global_assignment -name EDA_EXTRA_ELAB_OPTION "rivierapro=<third-party elab option(s)>" -section_id eda_simulation
For Xcelium* (Linux-only):
set_global_assignment -name EDA_EXTRA_ELAB_OPTION "xcelium=<third-party elab option(s)>" -section_id eda_simulation
Simulator GUI or Batch Mode Operation Optional Setting
The default mode of this option is GUI mode. For simulator batch mode:
set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL ON -section_id eda_simulation