Visible to Intel only — GUID: qje1724692626362
Ixiasoft
Answers to Top FAQs
1. FPGA Simulation Basics
2. Siemens EDA QuestaSim* Simulator Support
3. Synopsys VCS* and VCS MX Support
4. Aldec Active-HDL and Riviera-PRO Support
5. Cadence Xcelium* Parallel Simulator Support
6. Quartus® Prime Pro Edition User Guide Third-party Simulation Archive
A. Quartus® Prime Pro Edition User Guides
1.1. FPGA Simulation Essential Elements
1.2. Overview of Simulation Tool Flow
1.3. Simulation Tool Flow
1.4. Supported Simulation Flows
1.5. Supported Hardware Description Languages
1.6. Supported Simulation Types
1.7. Supported Simulators
1.8. Post-Fit Simulation Support by FPGA Family
1.9. Automating Simulation with the Run Simulation Feature
1.10. Using Precompiled Simulation Libraries
1.11. FPGA Simulation Basics Revision History
1.9.2.1. Specifying Required Simulation Settings for Run Simulation (Batch Mode)
1.9.2.2. Optional Simulation Settings for Run Simulation (Batch Mode)
1.9.2.3. Launching Simulation with the Run Simulation Feature
1.9.2.4. Running RTL Simulation using Run Simulation
1.9.2.5. Output Directories and Files for Run Simulation
Visible to Intel only — GUID: qje1724692626362
Ixiasoft
1.10.1. Enabling Precompiled Simulation Libraries
You can enable use of precompiled simulation libraries by specifying settings in the EDA Simulation Library Compiler GUI, or by specifying commands in batch mode at a terminal window.
Enabling Precompiled Simulation Libraries in the GUI
- In the Quartus® Prime Pro Edition software, click Tools > Launch Simulation Library Compiler.
- On the Settings tab, enable the Compatible mode for Quartus simulation flow option to enable use of precompiled libraries by default.
Figure 11. Enabling Precompiled Simulation Libraries in the GUI
- Click the Start Compilation button to perform one-time compilation of the device libraries.
Enabling Precompiled Simulation Libraries in Batch Mode
Specify the -mode quartus option in a terminal window, as the following example shows:
quartus_sh –simlib_comp -family agilex7 -tool questasim -mode quartus \ -language verilog -gen_only -cmd_file compile.do
When you specify this option, the Simulation Library Compiler generates separate tile-specific variants of libraries, rather than merging all libraries into one. This method is specifically useful when working with Quartus® Prime- or Platform Designer-generated simulation scripts.