Visible to Intel only — GUID: qpi1479763621305
Ixiasoft
1.1. Benefits of Command-Line Executables
1.2. Introductory Example
1.3. Command-Line Scripting Help
1.4. Project Settings with Command-Line Options
1.5. Compilation with quartus_sh --flow
1.6. Text-Based Report Files
1.7. Using Command-Line Executables in Scripts
1.8. Common Scripting Examples
1.9. The QFlow Script
1.10. Document Revision History
1.8.1. Create a Project and Apply Constraints
1.8.2. Check Design File Syntax
1.8.3. Create a Project and Synthesize a Netlist Using Netlist Optimizations
1.8.4. Archive and Restore Projects
1.8.5. Perform I/O Assignment Analysis
1.8.6. Update Memory Contents Without Recompiling
1.8.7. Create a Compressed Configuration File
1.8.8. Fit a Design as Quickly as Possible
1.8.9. Fit a Design Using Multiple Seeds
2.1. Tool Command Language
2.2. Intel® Quartus® Prime Tcl Packages
2.3. Intel® Quartus® Prime Tcl API Help
2.4. End-to-End Design Flows
2.5. Creating Projects and Making Assignments
2.6. Compiling Designs
2.7. Reporting
2.8. Timing Analysis
2.9. Automating Script Execution
2.10. Other Scripting Features
2.11. The Intel® Quartus® Prime Tcl Shell in Interactive Mode Example
2.12. The tclsh Shell
2.13. Tcl Scripting Basics
2.14. Tcl Scripting Revision History
Visible to Intel only — GUID: qpi1479763621305
Ixiasoft
2.11. The Intel® Quartus® Prime Tcl Shell in Interactive Mode Example
This section presents how to make project assignments and then compile the finite impulse response (FIR) filter tutorial project with the quartus_sh interactive shell.
This example assumes you already have the fir_filter tutorial design files in a project directory.
- To run the interactive Tcl shell, type the following at the system command prompt:
quartus_sh -s
- Create a new project called fir_filter, with a revision called filtref by typing:
project_new -revision filtref fir_filter
Note:- If the project file and project name are the same, the Intel® Quartus® Prime software gives the revision the same name as the project.
- If a .qpf file for this project already exists, the Intel® Quartus® Prime software will display an error stating that the project already exists.
Because the revision named filtref matches the top-level file, all design files are automatically picked up from the hierarchy tree. - Set a global assignment for the device:
set_global_assignment -name family <device family name>
To learn more about assignment names that you can use with the -name option, refer to Intel® Quartus® Prime Help.Note: For assignment values that contain spaces, enclose the value in quotation marks. - To compile a design, use the ::quartus::flow package, which properly exports the new project assignments and compiles the design with the proper sequence of the command-line executables. First, load the package:
load_package flow
It returns:
1.1
- To perform a full compilation of the FIR filter design, use the execute_flow command with the -compile option:
execute_flow -compile
This command compiles the FIR filter tutorial project, exporting the project assignments and running quartus_map, quartus_fit, quartus_asm , and quartus_sta. This sequence of events is the same as selecting Processing > Start Compilation in the Intel® Quartus® Prime GUI.
- When you are finished with a project, close it with the project_close command.
- To exit the interactive Tcl shell, type exit at a Tcl prompt.