Visible to Intel only — GUID: gib1482438863814
Ixiasoft
1.1. Benefits of Command-Line Executables
1.2. Introductory Example
1.3. Command-Line Scripting Help
1.4. Project Settings with Command-Line Options
1.5. Compilation with quartus_sh --flow
1.6. Text-Based Report Files
1.7. Using Command-Line Executables in Scripts
1.8. Common Scripting Examples
1.9. The QFlow Script
1.10. Document Revision History
1.8.1. Create a Project and Apply Constraints
1.8.2. Check Design File Syntax
1.8.3. Create a Project and Synthesize a Netlist Using Netlist Optimizations
1.8.4. Archive and Restore Projects
1.8.5. Perform I/O Assignment Analysis
1.8.6. Update Memory Contents Without Recompiling
1.8.7. Create a Compressed Configuration File
1.8.8. Fit a Design as Quickly as Possible
1.8.9. Fit a Design Using Multiple Seeds
2.1. Tool Command Language
2.2. Intel® Quartus® Prime Tcl Packages
2.3. Intel® Quartus® Prime Tcl API Help
2.4. End-to-End Design Flows
2.5. Creating Projects and Making Assignments
2.6. Compiling Designs
2.7. Reporting
2.8. Timing Analysis
2.9. Automating Script Execution
2.10. Other Scripting Features
2.11. The Intel® Quartus® Prime Tcl Shell in Interactive Mode Example
2.12. The tclsh Shell
2.13. Tcl Scripting Basics
2.14. Tcl Scripting Revision History
Visible to Intel only — GUID: gib1482438863814
Ixiasoft
1.2. Introductory Example
Create a new Intel® Quartus® Prime project, fit the design, and generate programming files with this example included with the Intel® Quartus® Prime software.
If installed, the tutorial design is located in the < Intel® Quartus® Prime directory> /qdesigns/fir_filter directory.
- Ensure that < Intel® Quartus® Prime directory>/quartus/bin directory is in your PATH environment variable.
- Copy the tutorial directory in a local folder.
- In a console, type the four commands in the new project directory:
quartus_map filtref --source=filtref.bdf --family="Cyclone V" quartus_fit filtref --part=EP3C10F256C8 --pack_register=minimize_area quartus_asm filtref quartus_sta filtref
- With the first instruction you create a new Intel® Quartus® Prime project named filtref, set the top-level file as filtref.bdf, set Cyclone® V as the target device family, and perform logic synthesis and technology mapping on the design files.
- The second command performs place and route by fitting the filtref project into the specified device, and directs the Fitter to pack sequential and combinational functions into single logic cells to reduce device resource usage.
- The third command creates a device programming image for the filtref project.
- The last line performs basic timing analysis on the filtref project using the Intel® Quartus® Prime Timing Analyzer, reporting worst-case setup slack, worst-case hold slack, and other measurements.
- Create a batch file or script file with the commands, like the UNIX shell script below:
#!/bin/sh PROJECT=filtref TOP_LEVEL_FILE=filtref.bdf FAMILY="Cyclone V" PART=EP3C10F256C8 PACKING_OPTION=minimize_area quartus_map $PROJECT --source=$TOP_LEVEL_FILE --family=$FAMILY quartus_fit $PROJECT --part=$PART --pack_register=$PACKING_OPTION quartus_asm $PROJECT quartus_sta $PROJECT
- Execute the script and compile your project.
Related Information